• Desarrollo de aceleradores para las plataformas de computación adaptativa

Este curso se dictará en español

Requisitos

Si el/la estudiante tuviera conocimientos previos básicos sobre FPGA sería una ventaja, aunque no es obligatorio. Se espera que los/las participantes tengan conocimientos previos sobre procesamiento paralelo y/o hardware paralelo. La mayor parte del diseño de las FPGA se realizará en C_++, por eso se requiere conocimientos intermedios de C/C++. AMD proveerá acceso remoto a instancia en la nube AWS F1 cloud que tendrán disponibles las herramientas XILINX y los dispositivos necesarios.

Horario

Carga horaria: 6 horas.

  • Clase 1: Jueves 16/3, 14:00hs a 17:00hs
  • Clase 2: Viernes 17/3, 14:00hs a 17:00hs

Programa abreviado

This course will introduce the Vitis Unified Software Platform environment for developing FPGA accelerators. Vitis environment enables the user to easily and productively develop accelerated algorithms and then efficiently implement and deploy them onto heterogeneous CPU-FPGA-ACAP systems. Vitis supports: C and C++ kernels.

RTL design flows are also supported for experienced hardware developers. Each of these flows will be discussed along with the open-source Xilinx Runtime Library and Vitis open-source accelerated libraries. We will also introduce the PYNQ project and show how PYNQ makes the use of Xilinx accelerator much easier.

Temario

Se utiilizará AWS F1 para acceder al hardware más actual disponible y a un amplio rango de placas aceleradoras AlveoSe cubrirán los siguientes puntos:  

  • Xilinx Vitis development framework, design flows, and use cases
  • AWS and Alveo boards for FPGA acceleration
  • Demonstration and hands-on-experience
  • Vitis development flow
  • Developing, profiling and optimizing applications for FPGA
  • Using Xilinx accelerator in the cloud

Clase 1

• Xilinx platforms
• Introduction to Vitis for accelerated platforms
• Vitis tool flow
• OpenCL execution model
• Vitis design analysis
• Get connected to AWS F1
• Hands-on: Vector Add lab

Clase 2

• Vitis design methodology
• Host code optimization
• Kernel Optimization
• Vitis Accelerated Libraries
• Hands-on: Improving performance lab
• Hands-on: Optimization lab
• Hands-on: Vitis Accelerated libraries lab

profesores

Mario Ruiz Noguera

Mario Ruiz Noguera

Desarrollo de aceleradores para las plataformas de computación adaptativa